dor_id: 26237

506.#.#.a: Público

590.#.#.d: Cada artículo es evaluado mediante una revisión ciega única. Los revisores son externos nacionales e internacionales.

510.0.#.a: Consejo Nacional de Ciencia y Tecnología (CONACyT), Sistema Regional de Información en Línea para Revistas Científicas de América Latina, el Caribe, España y Portugal (Latindex), Scientific Electronic Library Online (SciELO), Red de Revistas Científicas de América Latina y El Caribe, España y Portugal (RedALyC), Organización de Estados Iberoamericanos (CREDI), Actualidad Iberoamericana de Chile, Red Iberomericana de Innovación y Conocimiento Científico (REDIB), Science Direct, Directory of Open Acces Journals, Indice de Revistas Latinoamericanas en Ciencias (Periódica), Bibliografía Latinoamericana (Biblat), Índice Internacional de Revistas Actualidad Iberoamericana (CIT)

561.#.#.u: https://www.ingenieria.unam.mx/

650.#.4.x: Ingenierías

336.#.#.b: article

336.#.#.3: Artículo de Investigación

336.#.#.a: Artículo

351.#.#.6: http://www.revistas.unam.mx/index.php/ingenieria/index

351.#.#.b: Ingeniería, Investigación y Tecnología

351.#.#.a: Artículos

harvesting_group: RevistasUNAM

270.1.#.p: Revistas UNAM. Dirección General de Publicaciones y Fomento Editorial, UNAM en revistas@unam.mx

590.#.#.c: Open Journal Systems (OJS)

270.#.#.d: MX

270.1.#.d: México

590.#.#.b: Concentrador

883.#.#.u: http://www.revistas.unam.mx/front/

883.#.#.a: Revistas UNAM

590.#.#.a: Coordinación de Difusión Cultural, UNAM

883.#.#.1: https://www.publicaciones.unam.mx/

883.#.#.q: Dirección General de Publicaciones y Fomento Editorial, UNAM

850.#.#.a: Universidad Nacional Autónoma de México

856.4.0.u: http://www.revistas.unam.mx/index.php/ingenieria/article/view/49580/44609

100.1.#.a: Chávez Bracamontes, Ramón; García López, Reyna Itzel; Gurrola Navarro, Marco Antonio; Bandala Sánchez, Manuel

524.#.#.a: Chávez Bracamontes, Ramón, et al. (2015). VLSI Design with Alliance Free CAD Tools: an Implementation Example. Ingeniería Investigación y Tecnología; Vol 16, No 3, 2015. Recuperado de https://repositorio.unam.mx/contenidos/26237

245.1.0.a: VLSI Design with Alliance Free CAD Tools: an Implementation Example

502.#.#.c: Universidad Nacional Autónoma de México

561.1.#.a: Facultad de Ingeniería, UNAM

264.#.0.c: 2015

264.#.1.c: 2016-05-12

653.#.#.a: Vlsi design; alliance cad system; mosis educational program; spi; vlsi design; alliance cad system; mosis educational program; spi; vlsi design; alliance cad system; mosis educational program; spi

506.1.#.a: La titularidad de los derechos patrimoniales de esta obra pertenece a las instituciones editoras. Su uso se rige por una licencia Creative Commons BY-NC-ND 4.0 Internacional, https://creativecommons.org/licenses/by-nc-nd/4.0/legalcode.es, fecha de asignación de la licencia 2016-05-12, para un uso diferente consultar al responsable jurídico del repositorio por medio del correo electrónico marciaglez@dirfing.unam.mx

884.#.#.k: http://www.revistas.unam.mx/index.php/ingenieria/article/view/49580

001.#.#.#: oai:ojs.phoenicis.tic.unam.mx:article/49580

041.#.7.h: spa

520.3.#.a: This paper presents the methodology used for a digital integrated circuit design that implements the communication protocol known as serial peripheral interface, using the alliance cad system. The aim of this paper is to show how the work of vlsi design can be done by graduate and undergraduate students with minimal resources and experience. The physical design was sent to be fabricated using the cmos ami c5 process that features 0.5 micrometer in transistor size, sponsored by the mosis educational program. tests were made on a platform that transfers data from inertial sensor measurements to the designed spi chip, which in turn sends the data back on a parallel bus to a common microcontroller. The results show the efficiency of the employed methodology in vlsi design, as well as the feasibility of ics manufacturing from school projects that have insufficient or no source of funding. This paper presents the methodology used for a digital integrated circuit design that implements the communication protocol known as serial peripheral interface, using the alliance cad system. The aim of this paper is to show how the work of vlsi design can be done by graduate and undergraduate students with minimal resources and experience. The physical design was sent to be fabricated using the cmos ami c5 process that features 0.5 micrometer in transistor size, sponsored by the mosis educational program. tests were made on a platform that transfers data from inertial sensor measurements to the designed spi chip, which in turn sends the data back on a parallel bus to a common microcontroller. The results show the efficiency of the employed methodology in vlsi design, as well as the feasibility of ics manufacturing from school projects that have insufficient or no source of funding. This paper presents the methodology used for a digital integrated circuit design that implements the communication protocol known as serial peripheral interface, using the alliance cad system. The aim of this paper is to show how the work of vlsi design can be done by graduate and undergraduate students with minimal resources and experience. The physical design was sent to be fabricated using the cmos ami c5 process that features 0.5 micrometer in transistor size, sponsored by the mosis educational program. tests were made on a platform that transfers data from inertial sensor measurements to the designed spi chip, which in turn sends the data back on a parallel bus to a common microcontroller. The results show the efficiency of the employed methodology in vlsi design, as well as the feasibility of ics manufacturing from school projects that have insufficient or no source of funding.

773.1.#.t: Ingeniería Investigación y Tecnología; Vol 16, No 3 (2015)

773.1.#.o: http://www.revistas.unam.mx/index.php/ingenieria/index

046.#.#.j: 2021-08-03 00:00:00.000000

022.#.#.a: ISSN impreso: 1405-7743

310.#.#.a: Trimestral

264.#.1.b: Facultad de Ingeniería, UNAM

758.#.#.1: http://www.revistas.unam.mx/index.php/ingenieria/index

handle: 62325cd76079de28

harvesting_date: 2019-02-06 00:00:00.0

856.#.0.q: application/pdf

245.1.0.b: VLSI Design with Alliance Free CAD Tools: an Implementation Example

last_modified: 2021-08-12 16:00:00

license_url: https://creativecommons.org/licenses/by-nc-nd/4.0/legalcode.es

license_type: by-nc-nd

_deleted_conflicts: 2-472f9a9933e7f914a28b6ba33f85cfc3

No entro en nada

No entro en nada 2

Artículo

VLSI Design with Alliance Free CAD Tools: an Implementation Example

Chávez Bracamontes, Ramón; García López, Reyna Itzel; Gurrola Navarro, Marco Antonio; Bandala Sánchez, Manuel

Facultad de Ingeniería, UNAM, publicado en Ingeniería, Investigación y Tecnología, y cosechado de Revistas UNAM

Licencia de uso

Procedencia del contenido

Cita

Chávez Bracamontes, Ramón, et al. (2015). VLSI Design with Alliance Free CAD Tools: an Implementation Example. Ingeniería Investigación y Tecnología; Vol 16, No 3, 2015. Recuperado de https://repositorio.unam.mx/contenidos/26237

Descripción del recurso

Autor(es)
Chávez Bracamontes, Ramón; García López, Reyna Itzel; Gurrola Navarro, Marco Antonio; Bandala Sánchez, Manuel
Tipo
Artículo de Investigación
Área del conocimiento
Ingenierías
Título
VLSI Design with Alliance Free CAD Tools: an Implementation Example
Fecha
2016-05-12
Resumen
This paper presents the methodology used for a digital integrated circuit design that implements the communication protocol known as serial peripheral interface, using the alliance cad system. The aim of this paper is to show how the work of vlsi design can be done by graduate and undergraduate students with minimal resources and experience. The physical design was sent to be fabricated using the cmos ami c5 process that features 0.5 micrometer in transistor size, sponsored by the mosis educational program. tests were made on a platform that transfers data from inertial sensor measurements to the designed spi chip, which in turn sends the data back on a parallel bus to a common microcontroller. The results show the efficiency of the employed methodology in vlsi design, as well as the feasibility of ics manufacturing from school projects that have insufficient or no source of funding. This paper presents the methodology used for a digital integrated circuit design that implements the communication protocol known as serial peripheral interface, using the alliance cad system. The aim of this paper is to show how the work of vlsi design can be done by graduate and undergraduate students with minimal resources and experience. The physical design was sent to be fabricated using the cmos ami c5 process that features 0.5 micrometer in transistor size, sponsored by the mosis educational program. tests were made on a platform that transfers data from inertial sensor measurements to the designed spi chip, which in turn sends the data back on a parallel bus to a common microcontroller. The results show the efficiency of the employed methodology in vlsi design, as well as the feasibility of ics manufacturing from school projects that have insufficient or no source of funding. This paper presents the methodology used for a digital integrated circuit design that implements the communication protocol known as serial peripheral interface, using the alliance cad system. The aim of this paper is to show how the work of vlsi design can be done by graduate and undergraduate students with minimal resources and experience. The physical design was sent to be fabricated using the cmos ami c5 process that features 0.5 micrometer in transistor size, sponsored by the mosis educational program. tests were made on a platform that transfers data from inertial sensor measurements to the designed spi chip, which in turn sends the data back on a parallel bus to a common microcontroller. The results show the efficiency of the employed methodology in vlsi design, as well as the feasibility of ics manufacturing from school projects that have insufficient or no source of funding.
Tema
Vlsi design; alliance cad system; mosis educational program; spi; vlsi design; alliance cad system; mosis educational program; spi; vlsi design; alliance cad system; mosis educational program; spi
Idioma
spa
ISSN
ISSN impreso: 1405-7743

Enlaces